פּראָדוקטן

SPC5604PEF1MLQ6 (אָריגינעל אָרט פאָרמיטל מאָס)

קורץ באַשרייַבונג:

בויאַד טייל נומער: 568-14148-ND

פאַבריקאַנט:NXP USA ינק.

פאַבריקאַנט נומער: SPC5604PEF1MLQ6

באַשרייַבן: IC MCU 32BIT 512KB פלאַש 144LQFP

אָריגינעל פאַבריק נאָרמאַל עקספּרעס צייט: 52 וואָכן

דעטאַילעד באַשרייַבונג: e200z0h סעריע מיקראָקאָנטראָללער IC 32-ביסל איין האַרץ 64MHz 512KB (512K X 8) פלאַש 144-LQFP (20 × 20)

קונה אינערלעכער טייל נומער

ספּעסאַפאַקיישאַנז:ספּעסאַפאַקיישאַנז


פּראָדוקט דעטאַל

פּראָדוקט טאַגס

פּראָדוקט פּראָפּערטיעס:

טיפּ באַשרייַבן
קאַטעגאָריע ינטעגראַטעד קרייַז (יק) עמבעדיד - מיקראָקאָנטראָללערס
פאַבריקאַנט NXP USA ינק.
סעריע MPC56xx קאָריוווואַ
פּעקל טאַץ
פּראָדוקט סטאַטוס אין סטאק
האַרץ פּראַסעסער e200z0h
קערנעל באַשרייַבונג 32-ביסל איין האַרץ
גיכקייַט 64 מהז
קאַנעקטיוויטי קאַנבוס, פלעקסרייַ, LINbus, SPI, UART/USART
פּעריפעראַלס DMA, POR, PWM, WDT
נומער פון איך / אָ 108
פּראָגראַם סטאָרידזש קאַפּאַציטעט 512KB (512K X 8)
פּראָגראַם זכּרון טיפּ בליץ
EEPROM קאַפּאַציטעט 64K X 8
באַראַן גרייס 40K X 8
וואָולטידזש - מאַכט צושטעלן (Vcc/Vdd) 3וו ~ 5.5וו
דאַטן קאַנווערטער א/ד 30קס10ב
אַסאַלייטער טיפּ ינערלעך
אַפּערייטינג טעמפּעראַטור -40°C ~ 125°C (TA)
ייַנמאָנטירונג טיפּ ייבערפלאַך בארג טיפּ
פּעקל / אָפּצוימונג 144-LQFP
סאַפּלייער מיטל פּאַקקאַגינג 144-LQFP (20x20)
יקערדיק פּראָדוקט נומער SPC5604

סוויווע און עקספּאָרט קלאַסאַפאַקיישאַן:

אַטריביוץ באַשרייַבן
RoHS סטאַטוס קאָמפּליאַנט מיט ROHS3 ספּעסאַפאַקיישאַנז
מויסטשער סענסיטיוויטי מדרגה (MSL) 3 (168 שעה)
REACH סטאַטוס ניט-רעאַטש פּראָדוקטן
אַנטלויפן 3A991A2
HTSUS 8542.31.0001

MPC5604P סעריע בלאָק קיצער:
פאַרשפּאַרן פֿונקציע
אַנאַלאָג-צו-דיגיטאַל קאַנווערטער (ADC) מולטי-קאַנאַל, 10-ביסל אַנאַלאָג-צו-דיגיטאַל קאַנווערטער
שטיוול אַסיסט מאָדולע (BAM) בלאָק פון לייענען-בלויז זכּרון מיט VLE קאָד וואָס איז עקסאַקיוטאַד לויט צו
די שטיוול מאָדע פון ​​די מיטל
זייגער דור מאָדולע
(MC_CGM)
פּראָווידעס לאָגיק און קאָנטראָל פארלאנגט פֿאַר די דור פון סיסטעם און פּעריפעראַל
זייגערס
קאָנטראָללער שטח נעץ (FlexCAN) שטיצט די נאָרמאַל CAN קאָמוניקאַציע פּראָטאָקאָל
קרייַז טריגערינג אַפּאַראַט (CTU) ינייבאַלז סינגקראַנאַזיישאַן פון ADC קאַנווערזשאַנז מיט אַ טייַמער געשעעניש פֿון eMIOS
אָדער פֿון די PIT
קראָססבאַר באַשטימען (XBAR) שטיצט סיימאַלטייניאַס קאַנעקשאַנז צווישן צוויי בעל פּאָרץ און דריי שקלאַף
פּאָרץ;שטיצט אַ 32-ביסל אַדרעס ויטאָבוס ברייט און אַ 32-ביסל דאַטן ויטאָבוס ברייט
סייקליק יבעריקייַט טשעק (CRC) CRC טשעקקסום גענעראַטאָר
דעסעריאַל סיריאַל פּעריפעראַל צובינד
(DSPI)
פּראָווידעס אַ סינטשראָנאָוס סיריאַל צובינד פֿאַר קאָמוניקאַציע מיט פונדרויסנדיק דעוויסעס
ענכאַנסט דירעקט זכּרון אַקסעס
(עדמאַ)
פּערפאָרמז קאָמפּלעקס דאַטן טראַנספערס מיט מינימאַל ינטערווענטיאָן פון אַ באַלעבאָס פּראַסעסער
דורך "ען" פּראָוגראַמאַבאַל טשאַנאַלז
ימפּרוווד טייַמער (עטימער) פּראָווידעס ימפּרוווד פּראָוגראַמאַבאַל אַרויף / אַראָפּ מאָדולאָ קאַונטינג
טעות קערעקשאַן סטאַטוס מאָדולע
(ECSM)
פּראָווידעס אַ מיריאַד פון פאַרשידן קאָנטראָל פאַנגקשאַנז פֿאַר די מיטל, אַרייַנגערעכנט
פּראָגראַם קענטיק אינפֿאָרמאַציע וועגן קאַנפיגיעריישאַן און רעוויזיע לעוועלס, אַ באַשטעטיק
סטאַטוס רעגיסטרירן, ווייקאַפּ קאָנטראָל פֿאַר אַרויסגאַנג שלאָפן מאָדעס און אַפּשאַנאַל פֿעיִקייטן
אַזאַ ווי אינפֿאָרמאַציע וועגן זיקאָרן ערראָרס געמאלדן דורך טעות קערעקטינג קאָודז
פונדרויסנדיק אַסאַלייטער (XOSC) פּראָווידעס אַ רעזולטאַט זייגער געניצט ווי אַרייַנשרייַב רעפֿערענץ פֿאַר FMPLL_0 אָדער ווי רעפֿערענץ
זייגער פֿאַר ספּעציפיש מאַדזשולז דיפּענדינג אויף סיסטעם דאַרף
שולד זאַמלונג אַפּאַראַט (FCU) פּראָווידעס פאַנגקשאַנאַל זיכערקייַט צו די מיטל
פלאַש זכּרון פּראָווידעס ניט-וואַלאַטאַל סטאָרידזש פֿאַר פּראָגראַם קאָד, קאַנסטאַנץ און וועריאַבאַלז
אָפטקייַט-מאַדזשאַלייטיד
פאַסע-לאַקט שלייף (FMPLL)
דזשענערייץ הויך-גיכקייַט סיסטעם קלאַקס און שטיצט פּראָוגראַמאַבאַל אָפטקייַט
מאַדזשאַליישאַן
ינטעראַפּט קאָנטראָללער (INTC) פּראָווידעס בילכערקייַט-באזירט פּריעמפּטיוו סקעדזשולינג פון יבעררייַסן ריקוועס
JTAG קאָנטראָללער פּראָווידעס די מיטל צו פּרובירן שפּאָן פאַנגקשאַנאַליטי און קאַנעקטיוויטי בשעת רוען
טראַנספּעראַנט צו סיסטעם לאָגיק ווען נישט אין פּראָבע מאָדע
LINFlex קאָנטראָללער מאַנידזשיז אַ הויך נומער פון LIN (Local Interconnect Network protocol) אַרטיקלען
יפישאַנטלי מיט מינימום מאַסע אויף קפּו
מאָדע פּאָזיציע מאָדולע (MC_ME) פּראָווידעס אַ מעקאַניזאַם פֿאַר קאַנטראָולינג די מיטל אַפּעריישאַנאַל מאָדע און מאָדע
יבערגאַנג סיקוואַנסיז אין אַלע פאַנגקשאַנאַל שטאַטן;אויך מאַנידזשיז די מאַכט קאָנטראָל אַפּאַראַט,
באַשטעטיק דור מאָדולע און זייגער דור מאָדולע, און האלט די
קאַנפיגיעריישאַן, קאָנטראָל און סטאַטוס רעדזשיסטערז צוטריטלעך פֿאַר אַפּלאַקיישאַנז
פּעריאָדיש ינטעראַפּט טייַמער (PIT) פּראַדוסיז פּעריאָדיש ינטעראַפּץ און טריגערז
פּעריפעראַל בריק (PBRIDGE) צובינד צווישן די סיסטעם ויטאָבוס און אויף-שפּאָן פּעריפעראַלס
מאַכט קאָנטראָל אַפּאַראַט (MC_PCU) ראַדוסאַז די קוילעלדיק מאַכט קאַנסאַמשאַן דורך דיסקאַנעקטינג טיילן פון די מיטל
פון די מאַכט צושטעלן דורך אַ מאַכט סוויטשינג מיטל;מיטל קאַמפּאָונאַנץ זענען
גרופּט אין סעקשאַנז גערופן "מאַכט דאָומיינז" וואָס זענען קאַנטראָולד דורך די פּקו


  • פֿריִער:
  • ווייַטער:

  • לאָזן דיין אָנזאָג

    פֿאַרבונדענע פּראָדוקטן

    לאָזן דיין אָנזאָג